The Comparison Study of Future On-chip Interconnects for High Performance VLSI Applications

The Comparison Study of Future On-chip Interconnects for High Performance VLSI Applications
Author :
Publisher : Stanford University
Total Pages : 135
Release :
ISBN-10 : STANFORD:kv550rj8376
ISBN-13 :
Rating : 4/5 (76 Downloads)

Synopsis The Comparison Study of Future On-chip Interconnects for High Performance VLSI Applications by : Kyung Hoae Koo

Moore's law has driven the scaling of digital electronic devices' dimensions and performances over the last 40 years. As a result, logic components in a microprocessor have shown dramatic performance improvement. On the other hand, an on-chip interconnect which was considered only as a parasitic load before 1990s became the real performance bottleneck due to its extremely reduced cross section dimension. Now, on-chip global interconnect with conventional Cu/low-k and delay optimized repeater scheme faces great challenges in the nanometer regime, imposing problems of slower delay, higher power dissipation and limited bandwidth. Carbon based materials such as carbon nanotubes and graphene nanoribbons, and optical interconnect have been proposed for the alternate solution for the future nodes due to their special physical characteristics. This dissertation investigates the basic physical properties of novel materials for future interconnect, and describes the analytical and numerical models of local and global wire system based on new materials and novel signaling paradigms. This work also compares their basic performance metrics and circuit architectures to cope with the interconnect performance bottlenecks. We quantify the performance of these novel interconnects and compare them with Cu/low-k wires for future high-performance ICs.

The Comparison Study of Future On-chip Interconnects for High Performance VLSI Applications

The Comparison Study of Future On-chip Interconnects for High Performance VLSI Applications
Author :
Publisher :
Total Pages :
Release :
ISBN-10 : OCLC:707461031
ISBN-13 :
Rating : 4/5 (31 Downloads)

Synopsis The Comparison Study of Future On-chip Interconnects for High Performance VLSI Applications by : Kyung Hoae Koo

Moore's law has driven the scaling of digital electronic devices' dimensions and performances over the last 40 years. As a result, logic components in a microprocessor have shown dramatic performance improvement. On the other hand, an on-chip interconnect which was considered only as a parasitic load before 1990s became the real performance bottleneck due to its extremely reduced cross section dimension. Now, on-chip global interconnect with conventional Cu/low-k and delay optimized repeater scheme faces great challenges in the nanometer regime, imposing problems of slower delay, higher power dissipation and limited bandwidth. Carbon based materials such as carbon nanotubes and graphene nanoribbons, and optical interconnect have been proposed for the alternate solution for the future nodes due to their special physical characteristics. This dissertation investigates the basic physical properties of novel materials for future interconnect, and describes the analytical and numerical models of local and global wire system based on new materials and novel signaling paradigms. This work also compares their basic performance metrics and circuit architectures to cope with the interconnect performance bottlenecks. We quantify the performance of these novel interconnects and compare them with Cu/low-k wires for future high-performance ICs.

Crosstalk in Modern On-Chip Interconnects

Crosstalk in Modern On-Chip Interconnects
Author :
Publisher : Springer
Total Pages : 126
Release :
ISBN-10 : 9789811008009
ISBN-13 : 9811008000
Rating : 4/5 (09 Downloads)

Synopsis Crosstalk in Modern On-Chip Interconnects by : B.K. Kaushik

The book provides accurate FDTD models for on-chip interconnects, covering most recent advancements in materials and design. Furthermore, depending on the geometry and physical configurations, different electrical equivalent models for CNT and GNR based interconnects are presented. Based on the electrical equivalent models the performance comparison among the Cu, CNT and GNR-based interconnects are also discussed in the book. The proposed models are validated with the HSPICE simulations. The book introduces the current research scenario in the modeling of on-chip interconnects. It presents the structure, properties, and characteristics of graphene based on-chip interconnects and the FDTD modeling of Cu based on-chip interconnects. The model considers the non-linear effects of CMOS driver as well as the transmission line effects of interconnect line that includes coupling capacitance and mutual inductance effects. In a more realistic manner, the proposed model includes the effect of width-dependent MFP of the MLGNR while taking into account the edge roughness.

Noise Coupling in System-on-Chip

Noise Coupling in System-on-Chip
Author :
Publisher : CRC Press
Total Pages : 519
Release :
ISBN-10 : 9781138031616
ISBN-13 : 1138031615
Rating : 4/5 (16 Downloads)

Synopsis Noise Coupling in System-on-Chip by : Thomas Noulis

Noise Coupling is the root-cause of the majority of Systems on Chip (SoC) product fails. The book discusses a breakthrough substrate coupling analysis flow and modelling toolset, addressing the needs of the design community. The flow provides capability to analyze noise components, propagating through the substrate, the parasitic interconnects and the package. Using this book, the reader can analyze and avoid complex noise coupling that degrades RF and mixed signal design performance, while reducing the need for conservative design practices. With chapters written by leading international experts in the field, novel methodologies are provided to identify noise coupling in silicon. It additionally features case studies that can be found in any modern CMOS SoC product for mobile communications, automotive applications and readout front ends.

High-Speed VLSI Interconnections

High-Speed VLSI Interconnections
Author :
Publisher : John Wiley & Sons
Total Pages : 433
Release :
ISBN-10 : 9780470165966
ISBN-13 : 0470165960
Rating : 4/5 (66 Downloads)

Synopsis High-Speed VLSI Interconnections by : Ashok K. Goel

This Second Edition focuses on emerging topics and advances in the field of VLSI interconnections In the decade since High-Speed VLSI Interconnections was first published, several major developments have taken place in the field. Now, updated to reflect these advancements, this Second Edition includes new information on copper interconnections, nanotechnology circuit interconnects, electromigration in the copper interconnections, parasitic inductances, and RLC models for comprehensive analysis of interconnection delays and crosstalk. Each chapter is designed to exist independently or as a part of one coherent unit, and several appropriate exercises are provided at the end of each chapter, challenging the reader to gain further insight into the contents being discussed. Chapter subjects include: * Preliminary Concepts * Parasitic Resistances, Capacitances, and Inductances * Interconnection Delays * Crosstalk Analysis * Electromigration-Induced Failure Analysis * Future Interconnections High-Speed VLSI Interconnections, Second Edition is an indispensable reference for high-speed VLSI designers, RF circuit designers, and advanced students of electrical engineering.

Proceedings of Fifth International Conference on Soft Computing for Problem Solving

Proceedings of Fifth International Conference on Soft Computing for Problem Solving
Author :
Publisher : Springer
Total Pages : 1030
Release :
ISBN-10 : 9789811004483
ISBN-13 : 981100448X
Rating : 4/5 (83 Downloads)

Synopsis Proceedings of Fifth International Conference on Soft Computing for Problem Solving by : Millie Pant

The proceedings of SocProS 2015 will serve as an academic bonanza for scientists and researchers working in the field of Soft Computing. This book contains theoretical as well as practical aspects using fuzzy logic, neural networks, evolutionary algorithms, swarm intelligence algorithms, etc., with many applications under the umbrella of ‘Soft Computing’. The book will be beneficial for young as well as experienced researchers dealing across complex and intricate real world problems for which finding a solution by traditional methods is a difficult task. The different application areas covered in the proceedings are: Image Processing, Cryptanalysis, Industrial Optimization, Supply Chain Management, Newly Proposed Nature Inspired Algorithms, Signal Processing, Problems related to Medical and Health Care, Networking Optimization Problems, etc.

Carbon Nanotube Based VLSI Interconnects

Carbon Nanotube Based VLSI Interconnects
Author :
Publisher : Springer
Total Pages : 94
Release :
ISBN-10 : 9788132220473
ISBN-13 : 8132220471
Rating : 4/5 (73 Downloads)

Synopsis Carbon Nanotube Based VLSI Interconnects by : Brajesh Kumar Kaushik

The brief primarily focuses on the performance analysis of CNT based interconnects in current research scenario. Different CNT structures are modeled on the basis of transmission line theory. Performance comparison for different CNT structures illustrates that CNTs are more promising than Cu or other materials used in global VLSI interconnects. The brief is organized into five chapters which mainly discuss: (1) an overview of current research scenario and basics of interconnects; (2) unique crystal structures and the basics of physical properties of CNTs, and the production, purification and applications of CNTs; (3) a brief technical review, the geometry and equivalent RLC parameters for different single and bundled CNT structures; (4) a comparative analysis of crosstalk and delay for different single and bundled CNT structures; and (5) various unique mixed CNT bundle structures and their equivalent electrical models.

Interconnects in VLSI Design

Interconnects in VLSI Design
Author :
Publisher : Springer Science & Business Media
Total Pages : 234
Release :
ISBN-10 : 9781461543497
ISBN-13 : 1461543495
Rating : 4/5 (97 Downloads)

Synopsis Interconnects in VLSI Design by : Hartmut Grabinski

This book presents an updated selection of the most representative contributions to the 2nd and 3rd IEEE Workshops on Signal Propagation on Interconnects (SPI) which were held in Travemtinde (Baltic See Side), Germany, May 13-15, 1998, and in Titisee-Neustadt (Black Forest), Germany, May 19-21, 1999. This publication addresses the need of developers and researchers in the field of VLSI chip and package design. It offers a survey of current problems regarding the influence of interconnect effects on the electrical performance of electronic circuits and suggests innovative solutions. In this sense the present book represents a continua tion and a supplement to the first book "Signal Propagation on Interconnects", Kluwer Academic Publishers, 1998. The papers in this book cover a wide area of research directions: Beneath the des cription of general trends they deal with the solution of signal integrity problems, the modeling of interconnects, parameter extraction using calculations and measurements and last but not least actual problems in the field of optical interconnects.

Design and Optimization of High-performance Low-power CMOS VLSI Interconnects

Design and Optimization of High-performance Low-power CMOS VLSI Interconnects
Author :
Publisher :
Total Pages : 115
Release :
ISBN-10 : 1124738606
ISBN-13 : 9781124738604
Rating : 4/5 (06 Downloads)

Synopsis Design and Optimization of High-performance Low-power CMOS VLSI Interconnects by : Yulei Zhang

As semiconductor technology advances in the ultra deep sub-micron era, on-chip global interconnections have been an ever-greater barrier to achieving high-performance and low-power for the increasingly larger system-on-chip (SoC) designs. Various on-chip interconnection schemes are proposed to tackle the scaling issue of global wires by manipulating the wire operation regions, changing signaling methods, and applying different equalization techniques. Optimization frameworks are also proposed to aid the transmitter-wire-receiver co-design based on user-defined constraints. For the six representative global interconnection schemes, we investigate their performance metrics with technology scaling by performing optimizations using the proposed SQP-based framework. A set of simple models is also developed to enable early-stage system-level analysis. Performance of different interconnection schemes are predicted and compared over several technology nodes. We further perform studies on the pipelined $RC$ interconnection by exploring its performance metrics with voltage and technology scaling based on different design objectives. A performance evaluation flow is developed to generate the optimal designs for given objectives. Also, impacts of pipelining depth, voltage and technology scaling are illustrated. Finally, we propose an energy-efficient high-speed on-chip global interconnection by employing continuous-time active equalization. Modeling and design of transmitter and receiver circuits are discussed. Analytical formula of received eye-opening is derived for system-level design planning. We further perform transmitter-receiver co-design through an optimization framework and explore the design space to generate design based on best energy-throughput tradeoff.

Modeling and Simulation of High Speed VLSI Interconnects

Modeling and Simulation of High Speed VLSI Interconnects
Author :
Publisher : Springer Science & Business Media
Total Pages : 104
Release :
ISBN-10 : 9781461527183
ISBN-13 : 146152718X
Rating : 4/5 (83 Downloads)

Synopsis Modeling and Simulation of High Speed VLSI Interconnects by : Michel S. Nakhla

Modeling and Simulation of High Speed VLSI Interconnects brings together in one place important contributions and state-of-the-art research results in this rapidly advancing area. Modeling and Simulation of High Speed VLSI Interconnects serves as an excellent reference, providing insight into some of the most important issues in the field.