Simulated Annealing for VLSI Design

Simulated Annealing for VLSI Design
Author :
Publisher : Springer Science & Business Media
Total Pages : 206
Release :
ISBN-10 : 9781461316770
ISBN-13 : 1461316774
Rating : 4/5 (70 Downloads)

Synopsis Simulated Annealing for VLSI Design by : D.F. Wong

This monograph represents a summary of our work in the last two years in applying the method of simulated annealing to the solution of problems that arise in the physical design of VLSI circuits. Our study is experimental in nature, in that we are con cerned with issues such as solution representations, neighborhood structures, cost functions, approximation schemes, and so on, in order to obtain good design results in a reasonable amount of com putation time. We hope that our experiences with the techniques we employed, some of which indeed bear certain similarities for different problems, could be useful as hints and guides for other researchers in applying the method to the solution of other prob lems. Work reported in this monograph was partially supported by the National Science Foundation under grant MIP 87-03273, by the Semiconductor Research Corporation under contract 87-DP- 109, by a grant from the General Electric Company, and by a grant from the Sandia Laboratories.

VLSI Placement and Global Routing Using Simulated Annealing

VLSI Placement and Global Routing Using Simulated Annealing
Author :
Publisher : Springer Science & Business Media
Total Pages : 298
Release :
ISBN-10 : 9781461316978
ISBN-13 : 1461316979
Rating : 4/5 (78 Downloads)

Synopsis VLSI Placement and Global Routing Using Simulated Annealing by : Carl Sechen

From my B.E.E degree at the University of Minnesota and right through my S.M. degree at M.I.T., I had specialized in solid state devices and microelectronics. I made the decision to switch to computer-aided design (CAD) in 1981, only a year or so prior to the introduction of the simulated annealing algorithm by Scott Kirkpatrick, Dan Gelatt, and Mario Vecchi of the IBM Thomas 1. Watson Research Center. Because Prof. Alberto Sangiovanni-Vincentelli, my UC Berkeley advisor, had been a consultant at IBM, I re ceived a copy of the original IBM internal report on simulated annealing approximately the day of its release. Given my background in statistical mechanics and solid state physics, I was immediately impressed by this new combinatorial optimization technique. As Prof. Sangiovanni-Vincentelli had suggested I work in the areas of placement and routing, it was in these realms that I sought to explore this new algorithm. My flJ'St implementation of simulated annealing was for an island-style gate array placement problem. This work is presented in the Appendix of this book. I was quite struck by the effect of a nonzero temperature on what otherwise appears to be a random in terchange algorithm.

The Best of ICCAD

The Best of ICCAD
Author :
Publisher : Springer Science & Business Media
Total Pages : 744
Release :
ISBN-10 : 1402073917
ISBN-13 : 9781402073915
Rating : 4/5 (17 Downloads)

Synopsis The Best of ICCAD by : Andreas Kuehlmann

The Best of ICCAD marks the 20th anniversary of the International Conference on Computer Aided Design. This book presents a selection of papers from among the best contributions presented in ICCAD based on their impact on research and applications. The Best of ICCAD contains overview articles solicited from leading EDA researchers that comment on the historical context of the selected papers and outline their impact on follow up work. Nine leading companies including Cadence, Synopsys, Fujitsu, IBM and Magma offer "Industry Viewpoints" outlining the impact of ICCAD on their businesses. The Best of ICCAD provides an insightful reminder on how much progress has been made in EDA in the past twenty years and will be a useful tool for professionals in the field and students in the pursuit to crack the next wave of emerging EDA problems.

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure
Author :
Publisher : Springer Nature
Total Pages : 329
Release :
ISBN-10 : 9783030964153
ISBN-13 : 3030964159
Rating : 4/5 (53 Downloads)

Synopsis VLSI Physical Design: From Graph Partitioning to Timing Closure by : Andrew B. Kahng

The complexity of modern chip design requires extensive use of specialized software throughout the process. To achieve the best results, a user of this software needs a high-level understanding of the underlying mathematical models and algorithms. In addition, a developer of such software must have a keen understanding of relevant computer science aspects, including algorithmic performance bottlenecks and how various algorithms operate and interact. This book introduces and compares the fundamental algorithms that are used during the IC physical design phase, wherein a geometric chip layout is produced starting from an abstract circuit design. This updated second edition includes recent advancements in the state-of-the-art of physical design, and builds upon foundational coverage of essential and fundamental techniques. Numerous examples and tasks with solutions increase the clarity of presentation and facilitate deeper understanding. A comprehensive set of slides is available on the Internet for each chapter, simplifying use of the book in instructional settings. “This improved, second edition of the book will continue to serve the EDA and design community well. It is a foundational text and reference for the next generation of professionals who will be called on to continue the advancement of our chip design tools and design the most advanced micro-electronics.” Dr. Leon Stok, Vice President, Electronic Design Automation, IBM Systems Group “This is the book I wish I had when I taught EDA in the past, and the one I’m using from now on.” Dr. Louis K. Scheffer, Howard Hughes Medical Institute “I would happily use this book when teaching Physical Design. I know of no other work that’s as comprehensive and up-to-date, with algorithmic focus and clear pseudocode for the key algorithms. The book is beautifully designed!” Prof. John P. Hayes, University of Michigan “The entire field of electronic design automation owes the authors a great debt for providing a single coherent source on physical design that is clear and tutorial in nature, while providing details on key state-of-the-art topics such as timing closure.” Prof. Kurt Keutzer, University of California, Berkeley “An excellent balance of the basics and more advanced concepts, presented by top experts in the field.” Prof. Sachin Sapatnekar, University of Minnesota

Layout Optimization in VLSI Design

Layout Optimization in VLSI Design
Author :
Publisher : Springer Science & Business Media
Total Pages : 292
Release :
ISBN-10 : 9781475734157
ISBN-13 : 1475734158
Rating : 4/5 (57 Downloads)

Synopsis Layout Optimization in VLSI Design by : Bing Lu

Introduction The exponential scaling of feature sizes in semiconductor technologies has side-effects on layout optimization, related to effects such as inter connect delay, noise and crosstalk, signal integrity, parasitics effects, and power dissipation, that invalidate the assumptions that form the basis of previous design methodologies and tools. This book is intended to sample the most important, contemporary, and advanced layout opti mization problems emerging with the advent of very deep submicron technologies in semiconductor processing. We hope that it will stimulate more people to perform research that leads to advances in the design and development of more efficient, effective, and elegant algorithms and design tools. Organization of the Book The book is organized as follows. A multi-stage simulated annealing algorithm that integrates floorplanning and interconnect planning is pre sented in Chapter 1. To reduce the run time, different interconnect plan ning approaches are applied in different ranges of temperatures. Chapter 2 introduces a new design methodology - the interconnect-centric design methodology and its centerpiece, interconnect planning, which consists of physical hierarchy generation, floorplanning with interconnect planning, and interconnect architecture planning. Chapter 3 investigates a net-cut minimization based placement tool, Dragon, which integrates the state of the art partitioning and placement techniques.

Simulated Annealing

Simulated Annealing
Author :
Publisher : BoD – Books on Demand
Total Pages : 297
Release :
ISBN-10 : 9789535107675
ISBN-13 : 9535107674
Rating : 4/5 (75 Downloads)

Synopsis Simulated Annealing by : Marcos Sales Guerra Tsuzuki

This book presents state of the art contributes to Simulated Annealing (SA) that is a well-known probabilistic meta-heuristic. It is used to solve discrete and continuous optimization problems. The significant advantage of SA over other solution methods has made it a practical solution method for solving complex optimization problems. Book is consisted of 13 chapters, classified in single and multiple objectives applications and it provides the reader with the knowledge of SA and several applications. We encourage readers to explore SA in their work, mainly because it is simple and can determine extremely very good results.

Design systems for VLSI circuits

Design systems for VLSI circuits
Author :
Publisher : Springer Science & Business Media
Total Pages : 668
Release :
ISBN-10 : 9024735629
ISBN-13 : 9789024735624
Rating : 4/5 (29 Downloads)

Synopsis Design systems for VLSI circuits by : Giovanni DeMicheli

Proceedings of the NATO Advanced Study Institute, L'Aquila, Italy, July 7-18, 1986

VLSI Design for Manufacturing: Yield Enhancement

VLSI Design for Manufacturing: Yield Enhancement
Author :
Publisher : Springer Science & Business Media
Total Pages : 299
Release :
ISBN-10 : 9781461315216
ISBN-13 : 1461315212
Rating : 4/5 (16 Downloads)

Synopsis VLSI Design for Manufacturing: Yield Enhancement by : Stephen W. Director

One of the keys to success in the IC industry is getting a new product to market in a timely fashion and being able to produce that product with sufficient yield to be profitable. There are two ways to increase yield: by improving the control of the manufacturing process and by designing the process and the circuits in such a way as to minimize the effect of the inherent variations of the process on performance. The latter is typically referred to as "design for manufacture" or "statistical design". As device sizes continue to shrink, the effects of the inherent fluctuations in the IC fabrication process will have an even more obvious effect on circuit performance. And design for manufacture will increase in importance. We have been working in the area of statistically based computer aided design for more than 13 years. During the last decade we have been working with each other, and individually with our students, to develop methods and CAD tools that can be used to improve yield during the design and manufacturing phases of IC realization. This effort has resulted in a large number of publications that have appeared in a variety of journals and conference proceedings. Thus our motivation in writing this book is to put, in one place, a description of our approach to IC yield enhancement. While the work that is contained in this book has appeared in the open literature, we have attempted to use a consistent notation throughout this book.

Switch-Level Timing Simulation of MOS VLSI Circuits

Switch-Level Timing Simulation of MOS VLSI Circuits
Author :
Publisher : Springer Science & Business Media
Total Pages : 218
Release :
ISBN-10 : 9781461317098
ISBN-13 : 1461317096
Rating : 4/5 (98 Downloads)

Synopsis Switch-Level Timing Simulation of MOS VLSI Circuits by : Vasant B. Rao

Only two decades ago most electronic circuits were designed with a slide-rule, and the designs were verified using breadboard techniques. Simulation tools were a research curiosity and in general were mistrusted by most designers and test engineers. In those days the programs were not user friendly, models were inadequate, and the algorithms were not very robust. The demand for simulation tools has been driven by the increasing complexity of integrated circuits and systems, and it has been aided by the rapid decrease in the cost of com puting that has occurred over the past several decades. Today a wide range of tools exist for analYSiS, deSign, and verification, and expert systems and synthesis tools are rapidly emerging. In this book only one aspect of the analysis and design process is examined. but it is a very important aspect that has received much attention over the years. It is the problem of accurate circuit and timing simulation.

Handbook of Algorithms for Physical Design Automation

Handbook of Algorithms for Physical Design Automation
Author :
Publisher : CRC Press
Total Pages : 1044
Release :
ISBN-10 : 9780849372421
ISBN-13 : 0849372429
Rating : 4/5 (21 Downloads)

Synopsis Handbook of Algorithms for Physical Design Automation by : Charles J. Alpert

The physical design flow of any project depends upon the size of the design, the technology, the number of designers, the clock frequency, and the time to do the design. As technology advances and design-styles change, physical design flows are constantly reinvented as traditional phases are removed and new ones are added to accommodate changes in technology. Handbook of Algorithms for Physical Design Automation provides a detailed overview of VLSI physical design automation, emphasizing state-of-the-art techniques, trends and improvements that have emerged during the previous decade. After a brief introduction to the modern physical design problem, basic algorithmic techniques, and partitioning, the book discusses significant advances in floorplanning representations and describes recent formulations of the floorplanning problem. The text also addresses issues of placement, net layout and optimization, routing multiple signal nets, manufacturability, physical synthesis, special nets, and designing for specialized technologies. It includes a personal perspective from Ralph Otten as he looks back on the major technical milestones in the history of physical design automation. Although several books on this topic are currently available, most are either too broad or out of date. Alternatively, proceedings and journal articles are valuable resources for researchers in this area, but the material is widely dispersed in the literature. This handbook pulls together a broad variety of perspectives on the most challenging problems in the field, and focuses on emerging problems and research results.