MonolithIC 3D-ICs

MonolithIC 3D-ICs
Author :
Publisher : Iulia Tomut
Total Pages : 94
Release :
ISBN-10 :
ISBN-13 :
Rating : 4/5 ( Downloads)

Synopsis MonolithIC 3D-ICs by :

Handbook of 3D Integration, Volume 4

Handbook of 3D Integration, Volume 4
Author :
Publisher : John Wiley & Sons
Total Pages : 488
Release :
ISBN-10 : 9783527338559
ISBN-13 : 3527338551
Rating : 4/5 (59 Downloads)

Synopsis Handbook of 3D Integration, Volume 4 by : Paul D. Franzon

This fourth volume of the landmark handbook focuses on the design, testing, and thermal management of 3D-integrated circuits, both from a technological and materials science perspective. Edited and authored by key contributors from top research institutions and high-tech companies, the first part of the book provides an overview of the latest developments in 3D chip design, including challenges and opportunities. The second part focuses on the test methods used to assess the quality and reliability of the 3D-integrated circuits, while the third and final part deals with thermal management and advanced cooling technologies and their integration.

Physical Design for 3D Integrated Circuits

Physical Design for 3D Integrated Circuits
Author :
Publisher : CRC Press
Total Pages : 409
Release :
ISBN-10 : 9781351830195
ISBN-13 : 1351830198
Rating : 4/5 (95 Downloads)

Synopsis Physical Design for 3D Integrated Circuits by : Aida Todri-Sanial

Physical Design for 3D Integrated Circuits reveals how to effectively and optimally design 3D integrated circuits (ICs). It also analyzes the design tools for 3D circuits while exploiting the benefits of 3D technology. The book begins by offering an overview of physical design challenges with respect to conventional 2D circuits, and then each chapter delivers an in-depth look at a specific physical design topic. This comprehensive reference: Contains extensive coverage of the physical design of 2.5D/3D ICs and monolithic 3D ICs Supplies state-of-the-art solutions for challenges unique to 3D circuit design Features contributions from renowned experts in their respective fields Physical Design for 3D Integrated Circuits provides a single, convenient source of cutting-edge information for those pursuing 2.5D/3D technology.

Wafer Level 3-D ICs Process Technology

Wafer Level 3-D ICs Process Technology
Author :
Publisher : Springer Science & Business Media
Total Pages : 365
Release :
ISBN-10 : 9780387765341
ISBN-13 : 0387765344
Rating : 4/5 (41 Downloads)

Synopsis Wafer Level 3-D ICs Process Technology by : Chuan Seng Tan

This book focuses on foundry-based process technology that enables the fabrication of 3-D ICs. The core of the book discusses the technology platform for pre-packaging wafer lever 3-D ICs. However, this book does not include a detailed discussion of 3-D ICs design and 3-D packaging. This is an edited book based on chapters contributed by various experts in the field of wafer-level 3-D ICs process technology. They are from academia, research labs and industry.

3D Integration in VLSI Circuits

3D Integration in VLSI Circuits
Author :
Publisher : CRC Press
Total Pages : 211
Release :
ISBN-10 : 9781351779821
ISBN-13 : 1351779826
Rating : 4/5 (21 Downloads)

Synopsis 3D Integration in VLSI Circuits by : Katsuyuki Sakuma

Currently, the term 3D integration includes a wide variety of different integration methods, such as 2.5-dimensional (2.5D) interposer-based integration, 3D integrated circuits (3D ICs), 3D systems-in-package (SiP), 3D heterogeneous integration, and monolithic 3D ICs. The goal of this book is to provide readers with an understanding of the latest challenges and issues in 3D integration. TSVs are not the only technology element needed for 3D integration. There are numerous other key enabling technologies required for 3D integration, and the speed of the development in this emerging field is very rapid. To provide readers with state-of-the-art information on 3D integration research and technology developments, each chapter has been contributed by some of the world’s leading scientists and experts from academia, research institutes, and industry from around the globe. Covers chip/wafer level 3D integration technology, memory stacking, reconfigurable 3D, and monolithic 3D IC. Discusses the use of silicon interposer and organic interposer. Presents architecture, design, and technology implementations for 3D FPGA integration. Describes oxide bonding, Cu/SiO2 hybrid bonding, adhesive bonding, and solder bonding. Addresses the issue of thermal dissipation in 3D integration.

Embedded and Fan-Out Wafer and Panel Level Packaging Technologies for Advanced Application Spaces

Embedded and Fan-Out Wafer and Panel Level Packaging Technologies for Advanced Application Spaces
Author :
Publisher : John Wiley & Sons
Total Pages : 324
Release :
ISBN-10 : 9781119793779
ISBN-13 : 1119793777
Rating : 4/5 (79 Downloads)

Synopsis Embedded and Fan-Out Wafer and Panel Level Packaging Technologies for Advanced Application Spaces by : Beth Keser

Discover an up-to-date exploration of Embedded and Fan-Out Waver and Panel Level technologies In Embedded and Fan-Out Wafer and Panel Level Packaging Technologies for Advanced Application Spaces: High Performance Compute and System-in-Package, a team of accomplished semiconductor experts delivers an in-depth treatment of various fan-out and embedded die approaches. The book begins with a market analysis of the latest technology trends in Fan-Out and Wafer Level Packaging before moving on to a cost analysis of these solutions. The contributors discuss the new package types for advanced application spaces being created by companies like TSMC, Deca Technologies, and ASE Group. Finally, emerging technologies from academia are explored. Embedded and Fan-Out Wafer and Panel Level Packaging Technologies for Advanced Application Spaces is an indispensable resource for microelectronic package engineers, managers, and decision makers working with OEMs and IDMs. It is also a must-read for professors and graduate students working in microelectronics packaging research.

CAD Methodologies for Low Power and Reliable 3D ICs

CAD Methodologies for Low Power and Reliable 3D ICs
Author :
Publisher :
Total Pages :
Release :
ISBN-10 : OCLC:858457424
ISBN-13 :
Rating : 4/5 (24 Downloads)

Synopsis CAD Methodologies for Low Power and Reliable 3D ICs by : Young-Joon Lee

The main objective of this dissertation is to explore and develop computer-aided-design (CAD) methodologies and optimization techniques for reliability, timing performance, and power consumption of through-silicon-via(TSV)-based and monolithic 3D IC designs. The 3D IC technology is a promising answer to the device scaling and interconnect problems that industry faces today. Yet, since multiple dies are stacked vertically in 3D ICs, new problems arise such as thermal, power delivery, and so on. New physical design methodologies and optimization techniques should be developed to address the problems and exploit the design freedom in 3D ICs. Towards the objective, this dissertation includes four research projects. The first project is on the co-optimization of traditional design metrics and reliability metrics for 3D ICs. It is well known that heat removal and power delivery are two major reliability concerns in 3D ICs. To alleviate thermal problem, two possible solutions have been proposed: thermal-through-silicon-vias (T-TSVs) and micro-fluidic-channel (MFC) based cooling. For power delivery, a complex power distribution network is required to deliver currents reliably to all parts of the 3D IC while suppressing the power supply noise to an acceptable level. However, these thermal and power networks pose major challenges in signal routability and congestion. In this project, a co-optimization methodology for signal, power, and thermal interconnects in 3D ICs is presented. The goal of the proposed approach is to improve signal, thermal, and power noise metrics and to provide fast and accurate design space explorations for early design stages. The second project is a study on 3D IC partition. For a 3D IC, the target circuit needs to be partitioned into multiple parts then mapped onto the dies. The partition style impacts design quality such as footprint, wirelength, timing, and so on. In this project, the design methodologies of 3D ICs with different partition styles are demonstrated. For the LEON3 multi-core microprocessor, three partitioning styles are compared: core-level, block-level, and gate-level. The design methodologies for such partitioning styles and their implications on the physical layout are discussed. Then, to perform timing optimizations for 3D ICs, two timing constraint generation methods are demonstrated that lead to different design quality. The third project is on the buffer insertion for timing optimization of 3D ICs. For high performance 3D ICs, it is crucial to perform thorough timing optimizations. Among timing optimization techniques, buffer insertion is known to be the most effective way. The TSVs have a large parasitic capacitance that increases the signal slew and the delay on the downstream. In this project, a slew-aware buffer insertion algorithm is developed that handles full 3D nets and considers TSV parasitics and slew effects on delay. Compared with the well-known van Ginneken algorithm and a commercial tool, the proposed algorithm finds buffering solutions with lower delay values and acceptable runtime overhead. The last project is on the ultra-high-density logic designs for monolithic 3D ICs. The nano-scale 3D interconnects available in monolithic 3D IC technology enable ultra-high-density device integration at the individual transistor-level. The benefits and challenges of monolithic 3D integration technology for logic designs are investigated. First, a 3D standard cell library for transistor-level monolithic 3D ICs is built and their timing and power behavior are characterized. Then, various interconnect options for monolithic 3D ICs that improve design quality are explored. Next, timing-closed, full-chip GDSII layouts are built and iso-performance power comparisons with 2D IC designs are performed. Important design metrics such as area, wirelength, timing, and power consumption are compared among transistor-level monolithic 3D, gate-level monolithic 3D, TSV-based 3D, and traditional 2D designs.

Monolithic Three-dimensional Integration of Carbon Nanotube Digital VLSI

Monolithic Three-dimensional Integration of Carbon Nanotube Digital VLSI
Author :
Publisher :
Total Pages :
Release :
ISBN-10 : OCLC:869518057
ISBN-13 :
Rating : 4/5 (57 Downloads)

Synopsis Monolithic Three-dimensional Integration of Carbon Nanotube Digital VLSI by : Hai Wei

Today's two-dimensional integrated circuits (2D ICs) generally consist of a single layer of transistors and multiple layers of interconnects that are integrated vertically using inter-layer vias (ILVs). In contrast, three-dimensional ICs (3D ICs) consist of two or more layers of transistors (and multiple interconnect layers) that are integrated vertically using ILVs. For 3D ICs to achieve high energy-efficiency and small form factor, high-density ILVs, such as conventional vias used in today's 2D ICs, are preferred. Monolithic 3D integration can achieve this objective through sequential integration of multiple layers of circuits on a single wafer. However, monolithic 3D integration is difficult because the circuits on the upper layers of monolithic 3D ICs must be fabricated at temperatures below 400 °C; otherwise, the circuits on the lower layers can degrade. Carbon Nanotube Field-Effect Transistors (CNFETs) offer a unique opportunity to achieve monolithic 3D integration. This is because the high-temperature Carbon Nanotube (CNT) growth can be decoupled from CNFET circuit fabrication process through a low-temperature (130°C) CNT transfer technique. Moreover, CNFETs are excellent candidates for building highly energy-efficient digital systems of the future. Unfortunately, CNTs are subject to substantial inherent imperfections resulting from mis-positioned CNTs and metallic CNTs. In this dissertation, we experimentally demonstrate, for the first time, monolithic 3D ICs using CNFETs with the following features: 1. Scalable monolithic 3D integration of CNFET circuits that are immune to mis-positioned CNTs and metallic CNTs. 2. Flexible monolithic 3D integration where complementary CNFETs can be placed on arbitrary layers of monolithic 3D ICs and connected using conventional vias to build monolithic 3D logic circuits. 3. Functional CNFET monolithic 3D circuits operating using supply voltages from 3V down to sub-0.4V, with a fully-complementary CNFET inverter operating at 0.2V. Such CNFET monolithic 3D ICs, together with ultra-low voltage operation, create exciting opportunities for high-performance and highly energy-efficient digital system design.